Top "Verilog" questions

Verilog is a hardware description language (HDL) used to model electronic systems.

Better way of coding a RAM in Verilog

Which code is better in writing a RAM? assigning data_out inside always block: module memory( output reg [7:0] data_out, …

verilog vlsi
Using case statement and if-else at the same time?

I am trying to write Verilog HDL behavioral description of the machine specified in the state diagram below. I am …

verilog hdl
Assign ASCII character to wire in Verilog

I understand that you can declare a string in a Verilog test bench as follows: reg [8*14:1] string_value; initial string_…

string ascii verilog system-verilog
What does "Illegal reference to net error" mean?

I wrote this code for a T Flipflop. The output is toggled at every 11th clk. The program is giving …

verilog flip-flop
What's included in a verilog always @* sensitivity list?

I'm a bit confused about what is considered an input when you use the wildcard @* in an always block sensitivity …

verilog digital-logic
Difference between Synchronous and Asynchronous reset in Flip Flops

always @ (posedge clk or negedge reset ) begin //Asynchrous FF end always @(posedge clk) begin if (reset) // Synchronous FF end What …

verilog flip-flop
Logarithm in Verilog

I've a statement in verilog looking like integer level = log(N) (Where N is a parameter and level is to …

verilog logarithm
Can we have an array of custom modules?

Can we have an array of instances for a custom module? For example: we can have input [15:0] a; - this …

verilog
Incrementing a counter variable in verilog: combinational or sequential

I am implementing an FSM controller for a datapath circuit. The controller increments a counter internally. When I simulated the …

hardware verilog fpga sequential
How to write to inout port and read from inout port of the same module?

This is not about actually creating a verilog module with inout ports. There are tons of posts I've found about …

verilog inout