Top "Verilog" questions

Verilog is a hardware description language (HDL) used to model electronic systems.

Division in verilog

I am teaching myself verilog. The book I am following stated in the introduction chapters that to perform division we …

verilog
Verilog question mark (?) operator

I'm trying to translate a Verilog program into VHDL and have stumbled across a statement where a question mark (?) operator …

operators vhdl verilog
$display in Verilog and printf in C

As you know in Verilog has $display,$strobe and $monitor those used to display text on the screen. And in …

c verilog
How to sign-extend a number in Verilog

I'm working on a simple sign-extender in Verilog for a processor I'm creating for Computer Architecture. Here's what I've got …

verilog vlsi
Difference between "parameter" and "localparam"

I'm writing a project with Verilog and want to use parameter to define some parameter in my module. But when …

verilog
Include a module in verilog

I want to include a verilog module into another file. How do I include it in the code and how …

verilog
What's the difference between $stop and $finish in Verilog?

I'm using a GUI simulator, and they both seem to do the same thing.

verilog
$readmemh $writememh related resources

Suddenly, I am made to look into some verilog testbench code which heavily uses $readmemh, and $writememh. I understood that …

hardware verilog
$size, $bits, verilog

What is the difference between $size and $bits operator in verilog.? if I've variables, [9:0]a,[6:0]b,[31:0]c. c <= [($size(…

arrays verilog system-verilog
What is the difference between single (&) and double (&&) ampersand binary operators?

In IEEE 1800-2005 or later, what is the difference between & and && binary operators? Are they equivalent? I …

verilog system-verilog