Top "Vhdl" questions

VHDL (VHSIC Hardware Description Language) is a language used in electronic design to describe digital systems such as FPGA (field-programmable gate arrays) and IC (integrated circuits).

shift a std_logic_vector of n bit to right or left

I have a vector signal tmp : std_logic_vector(15 downto 0) I have to shift it to left or right of …

vhdl
Concatenating bits in VHDL

How do you concatenate bits in VHDL? I'm trying to use the following code: Case b0 & b1 & b2 &…

concatenation vhdl
VHDL - How should I create a clock in a testbench?

How should I create a clock in a testbench? I already have found one answer, however others on stack overflow …

simulation vhdl clock hardware-programming
clk'event vs rising_edge()

I had always used this for detecting a rising edge: if (clk'event and clk='1') then but this can …

vhdl
Why can't I increment this `std_logic_vector`

What's going on here? Why am I getting an 'operator argument type mismatch', and what can I do to fix …

vhdl
VHDL Variable Vs. Signal

I have been reading a text (Don't have it in front so can't give the title) about VHDL programming. One …

vhdl
Altera Quartus Error (12007): Top-level design entity "alt_ex_1" is undefined

I've looked at all the previous questions and no one seems to have a problem as simple as mine. Also …

vhdl intel-fpga quartus
How to convert 8 bits to 16 bits in VHDL?

I have an input signal from ADC convertor that is 8 bits (std_logic_vector(7 downto 0)). I have to convert them …

vhdl
Difference between mod and rem operators in VHDL?

I came across these statements in VHDL programming and could not understand the difference between the two operators mod and …

vhdl
Verilog question mark (?) operator

I'm trying to translate a Verilog program into VHDL and have stumbled across a statement where a question mark (?) operator …

operators vhdl verilog