Top "Vhdl" questions

VHDL (VHSIC Hardware Description Language) is a language used in electronic design to describe digital systems such as FPGA (field-programmable gate arrays) and IC (integrated circuits).

How to declare an output with multiple zeros in VHDL

Hello i am trying to find a way to replace this command: Bus_S <= "0000000000000000000000000000000" & Ne; with something more …

vhdl
Error adding std_logic_vectors

I wanna have a simple module that adds two std_logic_vectors. However, when using the code below with the + …

vhdl fpga
Best way to learn VHDL?

I want to learn VHDL but I really don't know from where to start. I want some advice and explanation …

vhdl
When must a signal be inserted into the sensitivity list of a process

I am confused about when a signal declared in an architecture must be inserted into the sensitivity list of a …

process vhdl
downto vs. to in VHDL

I'm not sure I understand the difference between 'downto' vs. 'to' in vhdl. I've seen some online explanations, but I …

vhdl
What does "others=>'0'" mean in an assignment statement?

cmd_register: process (rst_n, clk) begin if (rst_n='0') then cmd_r<= (others=>'0'); …

if-statement process vhdl fpga
Multidimensional Array Of Signals in VHDL

I have a signal in VHDL declared like this : signal Temp_Key : std_logic_vector(79 downto 0); This Temp_Key is …

arrays vhdl
VHDL and using the 'report' Statement

I've been having some issues with some VHDL code I wrote (see my other question for details if you're curious: …

report vhdl
How to "slice" an std_logic_vector in VHDL?

I'm developing a little thing in VHDL and am quite new to it. I'm having trouble figuring out how to …

syntax vhdl
Convert 8bit binary number to BCD in VHDL

The algorithm is well known, you do 8 left shifts and check the units, tens or hundreds bits (4 each) after each …

binary vhdl bcd