Top "Modelsim" questions

ModelSim is a popular simulator and debugging environment for VHDL, Verilog and SystemC.

The font of my modelsim is too small to see

As you can see, the font of modelsim's text editor is very small. But I can't change the size in …

linux fedora modelsim
How can I read binary data in VHDL/modelsim whithout using special binary formats

Some background: I am writing a VHDL test bench for a ethernet MAC. The testbench consists of a package and …

io vhdl modelsim
Wait until <signal>=1 never true in VHDL simulation

Below is the code that I am running. My question is why doesn't the 3rd wait until trigger in modelsim? …

vhdl fpga modelsim
Weak 'H', Pullup on inout bidirectional signal in simulation

Is there a way to tell the simulator (I'm using Modelsim) to pull a signal to weak 'H' when it's …

vhdl modelsim
How to simulate an Altera megafunction using Modelsim SE

___Hi, everyone. I have instantiated a PLL using the Megawizard in Quartus II. Then I wanted to simulate it using …

vhdl fpga modelsim
VCD dump for vhdl simulation via modelsim. HOWTO?

It's the first time i try to generate a VCD and i am getting some troubles. I have a testbench …

simulation dump vhdl modelsim
Is there a way to print the values of a signal to a file from a modelsim simulation?

I need to get the values of several signals to check them against the simulation (the simulation is in Matlab). …

vhdl modelsim
Where can I find a definitive list of the ModelSim error codes?

I am running some VHDL through ModelSim. Each error and warning has its own error code (like this: (vcom-1292) Slice …

vhdl fpga modelsim
How to restart a Verilog simulation in Modelsim

I'm trying to debug a Verilog module. I find it tedious to have to stop a simulation, modify code, and …

debugging module verilog modelsim
Warning: (vsim-7) Failed to open readmem file "mem_content_01.dat" in read mode

I am trying to run a test simulation in ModelSim and am getting the error in the title. I have …

verilog system-verilog modelsim