VCD dump for vhdl simulation via modelsim. HOWTO?

Stefano picture Stefano · Aug 5, 2011 · Viewed 9.7k times · Source

It's the first time i try to generate a VCD and i am getting some troubles.

I have a testbench called bench_minimips.vhdl that contain the entity sim_minimips. I want simulate it and get a VCD out of it.

i am typing the following command in the Modelsim shell:

vsim work.sim_minimips
vcd file myvcd1.vcd
vcd add -file bench_minimips.vhd/*
run

but if i open myvcd1.vcd in an empty file. What should i do to create the dump?

I tryed as well as sudgested in another forum the command:

wlf2vcd -o myvcd2.vcd vsim.wlf

but a error is generated.

I am really lost in understanding because all the websites i find tells you to use a TCL generated by altera or some other company and i do not have theyr content to look at.

Does someone knows what should i do?

Best, Stefano

Answer

Tomi Junnila picture Tomi Junnila · Aug 5, 2011

The -file parameter to vcd add is not used to specify signals but the name of the VCD (if you've created several).

What you want to do instead is to add objects in your simulation. For example:

vcd file myvcd1.vcd
vcd add -r /sim_minimips/*