Top "Quartus" questions

For questions about Quartus, a software tool developed by Altera / Intel to assist in the design, analysis, and synthesis of HDL designs, including FPGA and CPLD.

Error (10170): Verilog HDL syntax error at filename near text "input"; expecting ";"

Working with 2014 version of Quartus II software (web edition), I receive the error 10170 when compiling the following code: module shifter16 (…

verilog quartus
How to concatenate strings with integer in report statement?

I'm having trouble getting the following report statement to work: report "ERROR: instruction address '" & CONV_INTEGER(a(7 downto 2)) &…

vhdl modelsim intel-fpga quartus
Error (10818): Can't infer register because it does not hold its value outside the clock edge

I have been trying to implement an asynchronous counter, and the simulations are correct, but I keep on getting this …

asynchronous vhdl counter quartus