Top "Alu" questions

ALU stands for Arithmetic logic unit (ALU) that performs arithmetic and logical operations for computer systems.

How does the CPU do subtraction?

I have some basic doubts, but every time I sit to try my hands at interview questions, these questions and …

math cpu hardware subtraction alu
32 Bit ALU in VHDL Carry Out

I'm supposed to write a simple 32 Bit Alu in VHDL. Everything is working fine, except for two things. The ALU …

vhdl alu
Continuous assignment verilog

-This code is written in verilog using Modelsim 10.2d.The errors below indicate there is some problem with {cout,l3} …

variable-assignment verilog system-verilog alu
How do I set output flags for ALU in "Nand to Tetris" course?

Although I tagged this homework, it is actually for a course which I am doing on my own for free. …

hdl alu nand2tetris
Design 32 bit arithmetic logic unit (ALU)

I write this coder for an ALU. This ALU controlled with ctrl signals and do some works like add, subtract, …

verilog alu
Implementing one-bit flags in a 32Bit ALU using Verilog

I am working on an assignment and am a little lost and don't really know how to get started. I …

verilog digital-logic alu iverilog status-register
Combinational logic "IF" and "assign" statement in systemverilog

I found a very strange behaviour when design my ALU, hope someone can have a look it and tell me …

system-verilog alu
How many ALUs are in a CPU?

I believe that there is "1" ALU per core in a CPU correct? I seem to be having a little bit …

cpu alu
Verilog error: not a valid l-value

I'm trying to test if a wire(s) is on or not to signify if there is an error/overflow …

verilog alu
Verilog 32-bit ALU with Overflow, Sign, and Zero Flags

I have an assignment asking for creation of a module as described in the title. I need to add, subtract, …

overflow verilog sign alu