Top "Synopsys-vcs" questions

Synopsys VCS Verilog Simulator

SystemVerilog: How to connect C function using DPI call in VCS simulator?

I have the following files: C file with functions: // funcs.c #include <stdio.h> void something() { printf("something\…

c system-verilog synopsys-vcs system-verilog-dpi
Get system time in VCS

Is there way to get system time in VCS/UVM ? I am looking for something similar to Perl's localtime(time). …

verilog system-verilog uvm synopsys-vcs